驱动四个共阴极数码管的动态扫描电路VHDL程序如下,请填满必要空格,完善程序。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dtsm_ymq isport(clk:in std_logic;four,three,two,one:in std_logic_vector(__________downto0);x:out std_logic_vector(__________ downto0);led_select: out std_logic_vector(3 downto 0));end;architecture behave of dtsm_ymq issignal bcd_in:std_logic_vector(3 downto 0);signal cnt2: integer range 0 to 3;beginp1:process(clk)beginif clk'event and clk='1' thenif cnt2>=3 then cnt2<=0;else cnt2<=cnt2+1;end if;end if;end process;p2:process(cnt2,four,three,two,one)begincase cnt2 iswhen0 => led_select<="1110"; bcd_in<=one;when1 => led_select<="______"; bcd_in<=two;when2 => led_select<="______"; bcd_in<=three;when3 => led_select<="______"; bcd_in<=four;when others=> null;end case;end process;p3:process(bcd_in)begincase bcd_in iswhen "0000"=>x<="1111110";when "0001"=>x<="0110000";when "0010"=>x<="1101101";when "0011"=>x<="1111001";when "0100"=>x<="0110011";when "0101"=>x<="1011011";when "0110"=>x<="1011111";when "0111"=>x<="1110000";when "1000"=>x<="1111111";when "1001"=>x<="1111011";when others=>null;end case;end process;end behave;