题目内容

驱动四个共阴极数码管的动态扫描电路VHDL程序如下,请填满必要空格,完善程序。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dtsm_ymq isport(clk:in std_logic;four,three,two,one:in std_logic_vector(__________downto0);x:out std_logic_vector(__________ downto0);led_select: out std_logic_vector(3 downto 0));end;architecture behave of dtsm_ymq issignal bcd_in:std_logic_vector(3 downto 0);signal cnt2: integer range 0 to 3;beginp1:process(clk)beginif clk'event and clk='1' thenif cnt2>=3 then cnt2<=0;else cnt2<=cnt2+1;end if;end if;end process;p2:process(cnt2,four,three,two,one)begincase cnt2 iswhen0 => led_select<="1110"; bcd_in<=one;when1 => led_select<="______"; bcd_in<=two;when2 => led_select<="______"; bcd_in<=three;when3 => led_select<="______"; bcd_in<=four;when others=> null;end case;end process;p3:process(bcd_in)begincase bcd_in iswhen "0000"=>x<="1111110";when "0001"=>x<="0110000";when "0010"=>x<="1101101";when "0011"=>x<="1111001";when "0100"=>x<="0110011";when "0101"=>x<="1011011";when "0110"=>x<="1011111";when "0111"=>x<="1110000";when "1000"=>x<="1111111";when "1001"=>x<="1111011";when others=>null;end case;end process;end behave;

查看答案
更多问题

下列哪个特点是工程组织区别于其他组织的最大特点()

A. 复杂性
B. 一次性
C. 科学性
D. 经济性

下列哪些是工程合同的重要作用。()

A. 统一协调各参与者的行为
B. 保证工程顺利进行
C. 实施过程控制
D. 调节参与者各方的经济权责利
E. 解决争议的依据

工程管理的三大目标是指()

A. 安全
B. 成本
C. 信息
D. 质量
E. 进度

工程的产出效益分为直接效益和间接效益两部分

A. 对
B. 错

答案查题题库